TSMC is about to mass-produce the world's most advanced 5nm process technology. While Moore's Law seems to be progressing smoothly, many obstacles to the development of material technology are stirring under the surface.
At a semiconductor lithography technology seminar held in Silicon Valley, USA in 2019, the industry proposed that although the semiconductor process blueprint can be advanced all the way to 1nm in the next 10 years, the bottleneck of photoresist materials may cause warning signs to appear when the process develops to the 3nm node.
This shows that to continue the life of Moore's Law, all segments of the entire semiconductor industry chain, including materials, equipment, and manufacturing, need to work together to solve one technical problem after another like passing levels in a game, in order to move smoothly towards the destination.
How did EUV become a driving force behind Moore's Law?
The industry pointed out that with extreme ultraviolet light (EUV) officially entering commercialization, TSMC and Samsung have successfully introduced EUV technology into 7nm and started mass production, but the industry still sees some technical and material concerns. One of the biggest challenges is entering the 3nm process, which requires EUV photoresist technology with lower costs and higher resolution.
In the early stages of the development of lithography technology, semiconductor giants also used 193nm immersion lithography and multiple exposures to extend the process to 10nm and 7nm wavelengths. However, it became increasingly difficult to achieve specific graphics, and multiple exposures also led to an increase in production costs.
After the introduction of EUV lithography technology, EUV plays the role of the key lithography layer of the 7nm logic process. Before the introduction of chip manufacturers, EUV is composed of lithography machines, light sources, photoresists and photomasks.
In the past, the critical point of EUV technology was mostly the light source. Insufficient light source power would affect chip production efficiency, which is why EUV technology has been delayed in mass production for many years. ASML spent a lot of time to solve the light source problem and also acquired the American light source manufacturer Cymer in 2013.
Currently, ASML's light source power can reach 250w. At this power, customers can achieve a throughput of 155 wafers per hour; in the laboratory, a light source power of more than 300w can be achieved.
After the light source problem is solved, the most common challenge raised for EUV technology is the limitation of photoresist technology.
After the light source power, the bottleneck of EUV is the photoresist
Although the supply of semiconductor materials is highly concentrated, photoresist technology is probably the material with the highest concentration and the highest barriers in the world, with Japan and the United States together accounting for 95% of the market share.
In 248nm and 193nm lithography, the mainstream has been using chemically amplified photoresist CAR for more than 20 years, which is a photosensitive polymer used to make pattern formation. Under EUV technology, photons hit CAR photoresist and produce photoacid, which then undergoes a photoacid catalytic reaction during the post-exposure process to produce a lithography pattern.
However, when CAR photoresist is used on EUV, different and complex results may occur due to the significant increase in light source energy, which in turn affects chip yield.
Therefore, semiconductor equipment and material manufacturers are trying every possible way, or proposing new photoresist technology solutions, to allow EUV technology to continue to be used and extend the life of Moore's Law.
In recent years, different new EUV photoresist technologies have been introduced one after another, such as liquid metal oxide photoresist or dry photoresist. In the entire semiconductor industry chain ecosystem, this is a huge business opportunity brought about by material innovation.
A Hundred Schools of Thought on New EUV Photoresist Technology
Inpria, a materials supplier in the United States, is actively investing in EUV photoresist technology. It is a company that was spun off from the Institute of Chemistry at Oregon State University in 2007. After the news came out, it received investments from many semiconductor companies such as Samsung and Intel.
Inpria develops negative photoresist, whose molecular size is one-fifth of CAR organic photoresist. The key point is that the light absorption rate can reach 4-5 times that of CAR, so it can form circuit patterns more precisely and accurately.
This is mainly because Japan imposed export controls on EUV photoresist on South Korea in 2019, making South Korean semiconductor companies more proactive than other major semiconductor companies in finding alternative and innovative solutions.
According to estimates, more than 90% of South Korea's semiconductor photoresist technology is supplied by Japan, and EUV photoresist is also highly dependent on Japanese companies.
Samsung and TSMC are the two major semiconductor manufacturers in the world that have migrated to and introduced EUV technology. The two sides have been fighting since 7nm, and TSMC has been leading all the way. In order to win the 3nm process node in the future, Samsung must have complete control over the materials before it can declare war again.
According to statistics from research firm IC Insights, the output of semiconductors with a size less than 10nm will increase from 1.05 million wafers per month in 2019 to 6.27 million wafers per month in 2023, and EUV will dominate most process technologies below 7nm in the next few years.
The significant increase in production capacity of advanced processes below 7nm also means that the entire industry has a more urgent need for new EUV photoresist technology and materials from different sources.
Recently, a new EUV photoresist technology has also attracted much attention. It was jointly developed by Lam Research, ASML, a leading lithography machine company, and imec, a Belgian microelectronics center. It has proposed a new EUV dry photoresist technology, which aims to replace traditional CAR photoresist. This may be a huge breakthrough for the evolution of semiconductor technology.
Wenxin Voice interviewed Rick Gottscho, Executive Vice President and Chief Technology Officer of Lam Research. He said that the advantage of this new technology is that it improves the sensitivity and resolution of EUV, and can reduce the original amount of photoresist used by 5-10 times, bringing significant results in cost savings.
Lam invented EUV dry photoresist
In today's industry, organic chemical amplified photoresist CAR and inorganic photoresist n-CAR are mainly used, both of which use liquid photoresist technology. Only the new EUV photoresist technology proposed by LAM Research is based on dry deposition technology.
Gottscho said that the current mainstream photoresist technology is Organic Chemical Amplified Resist (CAR), which is a process of spin-coating liquid photoresist onto a wafer using a Track coating device and then removing it after exposure using a solvent.
The new dry photoresist technology developed by Lam Research is different from the traditional liquid photoresist coating method. It uses a chemical reaction in the chamber to allow the dry photoresist to be manufactured in chemical vapor deposition (CVD) or atomic layer deposition (ALD) and then removed by etching.
The advantage of such EUV dry photoresist is that it improves the sensitivity, resolution and resolution of EUV exposure of imaging.
More importantly, this new technology improves the cost of each EUV process wafer, because EUV equipment is being introduced into mass production by major semiconductor manufacturers around the world, pushing semiconductor technology into more advanced processes.
Gottscho emphasized that Lam Research's dry photoresist technology can use a lower dose of photoresist, which is almost 5-10 times less, to achieve higher resolution and expand the process window. EUV can depict circuit graphics more accurately while saving operating costs for customers.
LAM Research has a long history of cross-border technology alliance and cooperation with ASML and imec.
ASML, a major Dutch equipment manufacturer, is the leader in EUV lithography technology, LAM Research's strength lies in etching and deposition processes, and imec has long been focused on R&D technology innovation. The three-party cooperation is confident that it can break through conventional traditions and develop innovative technologies to extend EUV technology to more advanced process routines.
Semiconductor industry insiders analyzed that traditional CAR photoresist technology has been used since the 248nm exposure machine in the 1980s, and the main photoresist coating machine supplier is Tokyo Electric Power Co., Ltd., led by Japanese companies.
Therefore, the EUV dry photoresist technology developed by Lam Research, ASML and imec this time may form two camps with Japanese equipment and material manufacturers, break through the existing semiconductor technology rules, and bring profound changes to the industry.
According to statistics from research organization ChipInsights, among the top 10 global semiconductor equipment manufacturers in 2019 (excluding service revenue and some materials), Lam Research ranked fourth, only behind Applied Materials, ASML, and Tokyo Electric Corporation TEL.
Lam Research's strengths lie in front-end wafer processing technology, including thin film deposition, plasma etching, photoresist removal, chip cleaning and other front-end process solutions, back-end wafer-level packaging (WLP), etc. The three core products are etching equipment, deposition equipment, and photoresist removal and cleaning equipment.
There are also suppliers of semiconductor photoresists in China, but the existing technology and market share are still far from the international level. The five more successful photoresist suppliers are Beijing Kehua, Jingrui, Nanda Optoelectronics, Rongda Photosensitive, and Shanghai Xinyang.
Previous article:India's smartphone prices may rise next month as tax hikes loom
Next article:Samsung announces mass production of 512GB eUFS3.1 flash memory, with write speed increased by 3 times
Recommended ReadingLatest update time:2024-11-15 20:59
- Apple faces class action lawsuit from 40 million UK iCloud users, faces $27.6 billion in claims
- Apple and Samsung reportedly failed to develop ultra-thin high-density batteries, iPhone 17 Air and Galaxy S25 Slim phones became thicker
- Micron will appear at the 2024 CIIE, continue to deepen its presence in the Chinese market and lead sustainable development
- Qorvo: Innovative technologies lead the next generation of mobile industry
- BOE exclusively supplies Nubia and Red Magic flagship new products with a new generation of under-screen display technology, leading the industry into the era of true full-screen
- OPPO and Hong Kong Polytechnic University renew cooperation to upgrade innovation research center and expand new boundaries of AI imaging
- Gurman: Vision Pro will upgrade the chip, Apple is also considering launching glasses connected to the iPhone
- OnePlus 13 officially released: the first flagship of the new decade is "Super Pro in every aspect"
- Goodix Technology helps iQOO 13 create a new flagship experience for e-sports performance
- LED chemical incompatibility test to see which chemicals LEDs can be used with
- Application of ARM9 hardware coprocessor on WinCE embedded motherboard
- What are the key points for selecting rotor flowmeter?
- LM317 high power charger circuit
- A brief analysis of Embest's application and development of embedded medical devices
- Single-phase RC protection circuit
- stm32 PVD programmable voltage monitor
- Introduction and measurement of edge trigger and level trigger of 51 single chip microcomputer
- Improved design of Linux system software shell protection technology
- What to do if the ABB robot protection device stops
- Allegro MicroSystems Introduces Advanced Magnetic and Inductive Position Sensing Solutions at Electronica 2024
- Car key in the left hand, liveness detection radar in the right hand, UWB is imperative for cars!
- After a decade of rapid development, domestic CIS has entered the market
- Aegis Dagger Battery + Thor EM-i Super Hybrid, Geely New Energy has thrown out two "king bombs"
- A brief discussion on functional safety - fault, error, and failure
- In the smart car 2.0 cycle, these core industry chains are facing major opportunities!
- The United States and Japan are developing new batteries. CATL faces challenges? How should China's new energy battery industry respond?
- Murata launches high-precision 6-axis inertial sensor for automobiles
- Ford patents pre-charge alarm to help save costs and respond to emergencies
- New real-time microcontroller system from Texas Instruments enables smarter processing in automotive and industrial applications
- "【TGF4042 Signal Generator】" Circuit Parameter Measurement
- I saw a hardware open source USB to CAN tool on the Internet
- Find massage chair solutions
- [GD32E503 Review] 02. Playing with TFT LCD screen and string display
- CircuiteXpert User Series 1: Quick Setup without Plug-in
- 【AT-START-F425 Review】 WS2812 Color Light Ring Display Driver
- Starting from an annual salary of 200,000 yuan, are the salaries in the chip industry so high?
- EEWORLD University - Texas Instruments Deep Learning (TIDL) Overview
- Can the transmission speed of Zigbee be achieved on a small car?
- Oscilloscope probe problems