Abstract: With the advancement of semiconductor process technology, the integration of system chips is getting higher and higher, and power consumption has become one of the key factors to consider, especially for portable devices. This article describes a multi-power, multi-voltage low-power system chip implementation process. This process is based on the IEEE1801 (UPF) standard and uses EDA tools from Synopsys and MentorGraphics to easily implement the entire RTL-GDSII process.
Keywords: low power consumption; design for testability; multi-power and multi-voltage; power shutdown
0 Introduction
With the advancement of CMOS semiconductor technology, integrated circuits have entered the era of system on chip (SoC) design, which has greatly improved the integration and clock frequency, resulting in a sharp increase in chip power consumption. Power consumption has become another important factor in integrated circuit design in addition to area and timing, so low-power design has become the focus of academic and industrial circles. The introduction of low-power technology has brought new challenges to chip design and implementation. These challenges include the division of voltage domains, data exchange and management between EDA tools, etc. Based on the IEEEl801 standard Uni-fied Power Format (UPF), this paper uses EDA tools from Synopsys and Mentor Graphics to implement a complete low-power flow design "from RTL to GDSII" including testability design. Part 1 of this paper describes low-power technology and terminology. Part 2 describes the system chip designed in this paper. Part 3 describes the entire design process and the EDA tools used. Part 4 is a summary.
1 Low power technology
The power consumption of digital CMOS circuits mainly comes from three sources, namely switching power consumption Pswitching, short-circuit power consumption Pshort-circuit and leakage power consumption Pleakage, which are divided into two categories: dynamic power consumption (Pswitching+Pshort-circuit) and static power consumption (Pleakage), as shown in formula (1).
Among them, α is the switching activity factor, CL is the effective capacitance, VDD is the operating voltage, fclk is the clock frequency, ISC is the average short-circuit current, and Ileak is the average leakage current. At present, various methods for reducing power consumption have been proposed. The mainstream technologies include clock-gating and multi-threshold voltage. Advanced technologies include multi-voltage (MV) power shutdown (MTCMOS Pwr Gating), multi-voltage and power shutdown with state retention (MV&Pwr Gating with State Retention), low-voltage standby (Low-VDD Standby), dynamic or adaptive voltage and frequency scaling (Dynamic or Adaptive Voltage&Frequency Scaling, DVS, DVFS, AVS, AVFS), well bias (Well Biasing, VTCMOS), etc. In order to implement these technologies, it is necessary to divide the voltage domain (Power Domain, PD) during design, form different working modes (Power Mode, PM) and add special devices, such as power shutdown devices (Power Switches), level conversion devices (Level Shifter, LS), isolation devices (Isolation Cell) and state retention devices (State Ret-ention Cell), etc. In the chip design of this article, clock-gating, multi-voltage and power shutdown technologies are used.
2 Summary of this design
The chip design of this paper is shown in Figure 1. It has 40,000 registers and 200,000 logic gates, divided into seven voltage domains, PD TOP (top), PD1, PD2, PD3, PD4, PD5 and PD6, of which PD6 works at 1.2V and the rest work at 1.8V. There are three voltage modes in normal working mode, namely PM1 (PD1 is off, the rest are on), PM2 (PD TOP and PD1 are on, the rest are off) and PM3 (PD TOP is on, the rest are off). The enable signals (ps en and iso en) of the power shutdown device and the isolation device are generated by the power mode controller (PMC) in the normally open area PD TOP.
3 Low-power design process
As shown in Figure 1, an isolation device must be inserted into the output of each shutdown voltage domain to prevent the instability of the output after the power supply of the voltage domain is turned off from affecting the normal operation of other voltage domains. Since the operating voltage of PD6 is 1.2V and the others are 1.8V, level conversion devices must be inserted into the input and output of PD6. These low-power design intentions are written into the UPF file, and the EDA tool implements the designer's ideas based on the UPF. The entire design implementation process includes RTL code synthesis, testability design, layout and routing, physical verification, and equivalent formal verification of the netlist, as shown in Figure 2.
3.1 The
synthesis of RTL code uses Design Compiler (DC), and the input files are UPF, library file with power information (pg.db), RTL code and timing constraint file (SDC. During the synthesis optimization process, the tool will automatically insert level conversion devices and isolation devices at the corresponding positions according to UPF. After the optimization is completed, the correctness can be checked with the check_mv_desing command. The netlist output by DC and the RTL code are verified by Formality.
3.2 Testability Design
Perform testability design in the integrated netlist that has passed the equivalent formal verification. First, use MBISTArchitect to perform the intrinsic self-test (MBIST) of static random access memory (SRAM). The input files include the netlist and the model of SRAM, and the output is a netlist with self-test circuit. Secondly, use BSDArchitect to complete the boundary scan test. The input files include the netlist and
the model of the input/output interface circuit, and the output is a netlist containing the boundary scan circuit. Finally, use DFTCompiler to complete the logic scan test. The input files are UPF, timing constraint file and netlist, and insert The dft command completes the connection of the scan chain. Since UPF is not used when doing intrinsic self-test and boundary scan test circuits, the check mv desing command should be used to check after the scan chain is inserted.
If the level conversion device and isolation device are missing, insert them with insert_mv_cell. If they are redundant, delete them with remove_mv_cell command. DFT Compiler outputs the netlist, new UPF', SPF, DEF and timing constraint files. After completing the netlist of testability design and the integrated netlist, perform equivalent formal verification.
3.3 Layout and routing
Using IC Compiler performs layout and routing. The input files include UPF', timing constraint file, and netlist. The output files are netlist and timing constraint file. The output netlist must complete equivalent formal verification. After completing layout and routing, the netlist uses MVRIC to check low-power design, Star-RCXT to extract parasitic parameters, PrimeTime to sign off on timing and power consumption, and finally
MVSIM and VCS to complete post-simulation. Finally, Calibre is used to complete physical verification and output GDSII file. The final chip layout is shown in Figure 3.
3.4 Automatic test vector generation
The netlist after layout and routing and the SPF file output by DFT Compiler are sent to TetraMAX for automatic test vector generation. The design in this paper generates 2576 vectors with a fault coverage rate of 98%, and VCS is used to complete the post-simulation of the test vector.
4 Conclusion
This paper describes a low-power system chip implementation process. Using this process, a system chip containing 40,000 registers and 200,000 equivalent logic gates was implemented and tape-out verified, and the results achieved the expected goals.
Previous article:Using MATLAB to assist in the design of SPWM
Next article:Design and simulation of sequential logic circuits based on Multisim
- MathWorks and NXP Collaborate to Launch Model-Based Design Toolbox for Battery Management Systems
- STMicroelectronics' advanced galvanically isolated gate driver STGAP3S provides flexible protection for IGBTs and SiC MOSFETs
- New diaphragm-free solid-state lithium battery technology is launched: the distance between the positive and negative electrodes is less than 0.000001 meters
- [“Source” Observe the Autumn Series] Application and testing of the next generation of semiconductor gallium oxide device photodetectors
- 采用自主设计封装,绝缘电阻显著提高!ROHM开发出更高电压xEV系统的SiC肖特基势垒二极管
- Will GaN replace SiC? PI's disruptive 1700V InnoMux2 is here to demonstrate
- From Isolation to the Third and a Half Generation: Understanding Naxinwei's Gate Driver IC in One Article
- The appeal of 48 V technology: importance, benefits and key factors in system-level applications
- Important breakthrough in recycling of used lithium-ion batteries
- Innolux's intelligent steer-by-wire solution makes cars smarter and safer
- 8051 MCU - Parity Check
- How to efficiently balance the sensitivity of tactile sensing interfaces
- What should I do if the servo motor shakes? What causes the servo motor to shake quickly?
- 【Brushless Motor】Analysis of three-phase BLDC motor and sharing of two popular development boards
- Midea Industrial Technology's subsidiaries Clou Electronics and Hekang New Energy jointly appeared at the Munich Battery Energy Storage Exhibition and Solar Energy Exhibition
- Guoxin Sichen | Application of ferroelectric memory PB85RS2MC in power battery management, with a capacity of 2M
- Analysis of common faults of frequency converter
- In a head-on competition with Qualcomm, what kind of cockpit products has Intel come up with?
- Dalian Rongke's all-vanadium liquid flow battery energy storage equipment industrialization project has entered the sprint stage before production
- Allegro MicroSystems Introduces Advanced Magnetic and Inductive Position Sensing Solutions at Electronica 2024
- Car key in the left hand, liveness detection radar in the right hand, UWB is imperative for cars!
- After a decade of rapid development, domestic CIS has entered the market
- Aegis Dagger Battery + Thor EM-i Super Hybrid, Geely New Energy has thrown out two "king bombs"
- A brief discussion on functional safety - fault, error, and failure
- In the smart car 2.0 cycle, these core industry chains are facing major opportunities!
- The United States and Japan are developing new batteries. CATL faces challenges? How should China's new energy battery industry respond?
- Murata launches high-precision 6-axis inertial sensor for automobiles
- Ford patents pre-charge alarm to help save costs and respond to emergencies
- New real-time microcontroller system from Texas Instruments enables smarter processing in automotive and industrial applications
- [NXP Rapid IoT Review] Development Device and Online Programming Experience
- TinyGo releases version 0.10.0
- my country's ultra-wideband (UWB) technology frequency usage regulations
- Today at 10:00 AM, we will present a live broadcast with prizes: In-depth discussion of Melexis high-reliability programmable Hall switches, how to meet customers' individual needs...
- NB Networking Process Overview
- 【DIY Creative LED V2】Complete production steps
- Domestic 3G standards receive further support, 20 billion yuan in loans to help Putian
- Introduction to the basics of photoresistors
- Photos: 1978-2000: Our Fashion (1985-1988)
- The role of negative pressure in LCD screens