• Duration:35 minutes and 3 seconds
  • Date:2020/04/22
  • Uploader:hi5
Introduction
IGBT module products are widely used and play an important role in industrial inverters, which directly affect the product performance and service life of the inverter. With these advantages, Infineon's IGBT products can perfectly meet customer needs, especially the new generation IGBT7 series products, which will provide strong technical support for the next generation of high-performance inverter products and open the door to future innovation! We specially invited market and technical experts from Infineon and its partners to give a lively and informative speech to the majority of inverter drive product design engineers. We hope that everyone will actively participate in this online technical exchange event and wish you all the best. Everyone has gained a lot!
Unfold ↓

You Might Like

Recommended Posts

ADS1115 driver based on LM3S2965
[code] Note that the ADS1115 can select differential input mode. This is set by configuring the register. Page 19 of the datasheet has detailed descriptions. The address terminal ADDR is grounded, so
zw357234798 Microcontroller MCU
EEWORLD University Hall ---- Interview with Elon Musk - The story behind success (Chinese and English subtitles)
Elon Musk Interview - The Story Behind Success (Chinese and English subtitles) : https://training.eeworld.com.cn/course/4358
phantom7 Integrated technical exchanges
FPGA Simulation I2C Controller
---- Simple I2C controller---- 1) No multimaster-- 2) No slave mode-- 3) No fifo's---- notes:-- Every command is acknowledged. Do not set a new command before previous is acknowledged.-- Dout is avail
eeleader FPGA/CPLD
How can I intercept the audio stream during a Windows Mobile call?
How can I intercept the voice stream in a Windows Mobile call? I heard that these functions should be used to operate waveInOpen - open an audio input device (recording) waveInClose - close an audio i
zuoqi Embedded System
I would like to ask for help on the serial communication of the msp430F1** series. Thank you!
Two 430 development boards are used for serial communication, one for sending and one for receiving! I found that the received data is not correct. Please give me some guidance from experts in the msp
zhy3928551 Microcontroller MCU
Please help, I want it to accumulate from 0050
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity jifei is port(kmout:in std_logic; enable:in std_logic; reset:in std_logic; count1,count
悲伤雪人 FPGA/CPLD

Recommended Content

可能感兴趣器件

EEWorld
subscription
account

EEWorld
service
account

Automotive
development
circle

About Us Customer Service Contact Information Datasheet Sitemap LatestNews


Room 1530, 15th Floor, Building B, No.18 Zhongguancun Street, Haidian District, Beijing, Postal Code: 100190 China Telephone: 008610 8235 0740

Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号