EEWORLDEEWORLDEEWORLD

Part Number

Search

2N3500

Description
300 mA, 150 V, NPN, Si, SMALL SIGNAL TRANSISTOR, TO-39
Categorysemiconductor    Discrete semiconductor   
File Size170KB,4 Pages
ManufacturerSOLIDSTATE
Download Datasheet Parametric View All

2N3500 Online Shopping

Suppliers Part Number Price MOQ In stock  
2N3500 - - View Buy Now

2N3500 Overview

300 mA, 150 V, NPN, Si, SMALL SIGNAL TRANSISTOR, TO-39

2N3500 Parametric

Parameter NameAttribute value
Number of terminals3
Transistor polarityNPN
Maximum on-time115 ns
Maximum off time1150 ns
Maximum collector current0.3000 A
Maximum Collector-Emitter Voltage150 V
Processing package descriptionHERMETIC SEALED, metal CAN-3
stateACTIVE
packaging shaperound
Package Sizecylindrical
Terminal formWire
Terminal locationBOTTOM
Packaging MaterialsMetal
structuresingle
Number of components1
Transistor component materialssilicon
Maximum ambient power consumption1 W
Transistor typeUniversal small signal
Minimum DC amplification factor15
【NXP Rapid IoT Review】+ Trial Computer Programming & Mobile Phone Control
[i=s]This post was last edited by damiaa on 2018-12-30 16:51[/i] [b]【NXP Rapid IoT Review】+Start Trial[/b] [b]I finally received the RAPID IOT board last night, it’s great! [/b]Small, but said to be p...
damiaa RF/Wirelessly
TMS320C6747 Fixed-point/Floating-point Digital Signal Processor
[i=s]This post was last edited by Jacktang on 2020-5-4 08:35[/i]Data SheetTMS320C6745, TMS320C6747 Fixed- and Floating-Point Digital Signal Processor 数据表(英文內容)| Online data sheetErrataTMS320C6747 Fixe...
Jacktang DSP and ARM Processors
Chip Manufacturing 7-Chip Manufacturing
...
ylyfxzsx Download Centre
FPGA water lamp design vhdl source program
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned .all; entity liushuideng is port(clk:in std_logic; led:out std_logic_vector(7 downto 0)); end entity; architecture bhv of liushui...
fish001 DSP and ARM Processors
USB packet capture module protocol
I have a question for you all, what protocol does the POS receipt printer use? I recently worked on a project in which I used STM32F407 to receive printer data captured by a USB packet capture module ...
ZYNQ stm32/stm8
Analog Electronics Basic Circuit Collection 35/90
Analog Electronics Basic Circuit Collection 35/90Author: Unknown Source: Unknown Added Date: 2004-12-29 2335Number of Pages: [1]...
fighting Analog electronics

EEWorld
subscription
account

EEWorld
service
account

Automotive
development
circle

Datasheet   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
Room 1530, 15th Floor, Building B, No. 18 Zhongguancun Street, Haidian District, Beijing Telephone: (010) 82350740 Postal Code: 100190
Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved 京ICP证060456号 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号