EEWORLDEEWORLDEEWORLD

Part Number

Search

135X-16R5-AB50

Description
Fixed Resistor, Wire Wound, 3W, 16.5ohm, 140V, 0.05% +/-Tol, 50ppm/Cel,
CategoryPassive components    The resistor   
File Size48KB,1 Pages
ManufacturerRCD Components Inc.
Websitehttp://www.rcdcomponents.com/
Download Datasheet Parametric View All

135X-16R5-AB50 Overview

Fixed Resistor, Wire Wound, 3W, 16.5ohm, 140V, 0.05% +/-Tol, 50ppm/Cel,

135X-16R5-AB50 Parametric

Parameter NameAttribute value
Objectid855269085
Reach Compliance Codecompliant
Country Of OriginMainland China, Saint Lucia, USA
ECCN codeEAR99
YTEOL7.25
structureTubular
Lead diameter0.813 mm
Lead length34.925 mm
Number of terminals2
Maximum operating temperature350 °C
Minimum operating temperature-50 °C
Package diameter4.78 mm
Package length12.7 mm
Package shapeTUBULAR PACKAGE
Package formAxial
method of packingBulk
Rated power dissipation(P)3 W
resistance16.5 Ω
Resistor typeFIXED RESISTOR
series135(A TOL)
technologyWIRE WOUND
Temperature Coefficient50 ppm/°C
Tolerance0.05%
Operating Voltage140 V
100 SERIES
PRECISION POWER WIREWOUND RESISTORS
SILICONE COATED 1/2 WATT TO 50 WATT
RoHS
Term.W is
RoHS
compliant
& 260°C
compatible
RESISTORS CAPACITORS COILS DELAY LINES
RCD 160F
10KΩ 5%
World’s widest range of axial lead WW resistors! 0.005Ω to 2MΩ,
tolerances to ±0.005%, 1/2W to 50W, numerous design options
Low cost! Available on exclusive
SWIFT
TM
delivery program
OPTIONS
Option X: Low Inductance
Option P: Increased Pulse Capability
Option F: Flameproof Coating
Option ER: 100-Hour Burn-In
Option B: Increased Power
Radial leads (opt.R), low thermal emf (opt.E), matched sets, special
marking, cut &formed leads, hi-rel screening, non-standard values,
high voltage, etc. Customized components are RCD’s speciality!
DERATING
(derate W/V/A ratings when ambient temp. exceeds 25°C):
Char. U is the max. power for ±0.5% typ. load life stability & 275°C
hotspot, Char. V is max. power for ±3% stability & 350°C hotspot
% RATED POWER
Series 100 resistors offer exceptional performance at an economical
cost. Superior stability results from welded construction and windings of
premium grade resistance wire on thermally conductive ceramic cores.
Hi-temp coating provides excellent environmental protection and solvent
resistance. Tin (or SnPb) coated copper or copperweld leads offer
excellent solderabilty and extended shelf life.
PULSE CAPABILITY:
Excellent pulse capability results from wirewound
construction. The pulse/overload capability can often be economically
enhanced by a factor of 50% or more via special Option P processing.
Pulse capability is highly dependent on size and resistance value, consult
factory (available up to 500 joules).
INDUCTANCE:
small sizes have inductance of 1- 50uH typ. Larger sizes
and higher values typically have greater levels. For non-inductive design,
specify Opt. X. The max. series inductance for Opt.X resistors at 0.5MHz
is listed in table (per MIL-R-39007). Specialty
RCD Type
50
> 50
constructions are available for even lower
102X-140X 0.2µH 0.37µH
inductance levels (Opt.75 inductance =
145X-160X 0.3µH
0.6µH
50% of Opt.X, Opt.76 = 33% of Opt.X).
165X-178X 0.65µH 1.2µH
1.375” Min
9
Type 102 is 1.1”
100
75
50
25
0
-65
25
50
CHAR. V
CHAR. U
Tol <0.1%
75
100 125 150 175 200 225 250 275 300 325
AMBIENT TEMPERATURE ( °C )
350
A
3
D
C
2
Stock
Stock
Stock
Stock
be .397” [10mm] dia.x 1.81 [46] long with 1.3”[33] lead length
5
Military p/n’s are given for reference only and do not imply qualification or exact interchangeability.
6
Increased range avail.
7
Resis. value measured at 3/8”
±1/16” from each end of body
8
Heavier lead gauge option is recommended on low values to enable lower leadwire resis., increased current, and improved TC
9
Lead length applies to bulk packaged units (taped parts may
be shorter, refer to taping spec.)
10
Dependent on value, options, etc
DIMENSIONS [Numbers in brackets are mm]
Maximum
Voltage
C
2
D
8
± .003 [.08]
A
3
1,6
Rating
Char.U Char.V
Char.U Char.V
± .062 [1.58]
± .032 [.81]
Std.
Optional
102
-
0.5
0.8
0.8
1.0
.01
- 2K
30V
.16 ±.03 [4.±.8] .07±.02[1.8±.5] .020
-
110
RW81 (110B)
0.8
1.0
1.5
2.0
.01
- 8K
40V
.24 ±.03 [6.±.8] .085 [2.16]
.020
.024 (opt. 22)
115
-
1.0
1.2
1.5
2.0
.01
- 12K
45V
.312
[7.92]
.085 [2.16]
.020
.024 (opt. 22)
120
-
1.0
1.2
-
-
.01
- 15K
50V
.344
[8.74]
.093 [2.36]
.020
.024 (opt. 22)
RW70 (125B RW80)
1.5
125
1.8
2.0
2.5
.01
- 20K
55V
.406
[10.3]
.093 [2.36]
.020
.024 (opt. 22)
130
-
1.6
2.0
-
-
.01
- 22K
65V
.530
[13.5]
.093 [2.36]
.020
.024 (opt. 22)
133
-
2.0
3.0
3.0
4.0
.005
- 20K
80V
.355
[9.00]
.156 [3.96]
.032
.024 (opt. 22)
135
RW69
3.0
4.0
4.0
5.0
.005
- 40K
140V
.500
[12.7]
.188 [4.78]
.032 .024(22), .040(18)
140
RW79
3.0
4.0
4.0
5.0
.005
- 50K
140V
.550
[14.2]
.188 [4.78]
.032
.040 (opt. 18)
145
-
3.5
4.5
4.5
6.5
.005
- 60K
180V
.770
[19.6]
.188 [4.78]
.032
.040 (opt. 18)
150
-
3.5
4.5
5.0
7.0
.005
- 60K
150V
.500
[12.7]
.225 [5.72]
.040
.032 (opt. 20)
155
-
4.0
5.0
6.0
8.0
.005
- 100K
210V
.625
[15.9]
.225 [5.72]
.040
.032 (opt. 20)
156
-
5.0
6.0
-
-
.005
- 150K
300V
.800
[20.3]
.250 [6.35]
.040
.032 (opt. 20)
160
RW74
5.0
7.0
7.0
10
.005
- 200K
400V
.875
[22.2]
.312 [7.92]
.040
.032 (opt. 20)
165
RW67
6.0
7.5
-
-
.005
- 220K
450V
1.000 [25.4]
.312 [7.92]
.040
.032 (opt. 20)
170
-
7.0
9.0
10
12
.005
- 300K
550V
1.200 [30.9]
.312 [7.92]
.040
.032 (opt. 20)
171
-
7.0
8.5
-
-
.005
- 250K
700V
1.660 [42.2]
.208 [5.28]
.032
.040 (opt. 18)
172
-
8.5
10
-
-
.005
- 400K
900V
2.100 [53.3]
.225 [5.72]
.032
.040 (opt. 18)
173
-
9.0
11
12
14
.005
- 400K
650V
1.550 [39.4]
.300 [7.62]
.040
.032 (opt. 20)
175
4
RW68, 78
10
13
15
18
.005
- 500K
900V
1.720
4
[43.7]
.350
4
[8.89]
.040
.032 (opt. 20)
176
-
10
12
-
-
.005
- 500K
800V
1.875 [47.6]
.300 [7.62]
.040
.032 (opt. 20)
178
-
13
15
-
-
.01
- 750K
1150V
2.410 [61.2]
.350 [8.89]
.040
.032 (opt. 20)
180
RW56
14
16
16
20
.01
- 800K
1000V
2.100 [53.3]
.500 [12.7]
.040
-
185
-
20
25
-
-
.015
- 1M
1350V
2.800 [71.1]
.500 [12.7]
.040
-
186
-
25
30
.010
- 1M
1400V
4.060 [103]
.350 [8.89]
.040
.032 (opt. 20)
190
-
40
50
-
-
.025
- 2M
1500V
5.000 [127]
.500 [12.7]
.040
-
1
Working voltage= (PR)
1/2
, not to exceed max rating (multiply by 0.7 for Opt.X).
2
Allow .032” additional for Opt X or values <1
3
Coating overflow onto each lead
≤2xD
4
Until existing inventory is depleted, type 175 may
RCD
Type
MIL
Type
5
Std. Wattage
Ratings
Opt.B Wattage
Ratings
Resistance
R a n g e
6, 7
TYPICAL PERFORMANCE
10
Load Life (Char.U)
Thermal Shock
Moisture Resistance
Shock and Vibration
Overload, 5 Sec
Dielectric Strength
Max. Current (not to exceed
wattage or voltage rating)
TC (ppm/°C)
10
1Ω - 9.9
0.1
- .99
.05
-.099
.01
-.049
±0.5% (±1% on sizes >10W)
±0.2%
±0.2%
±0.1%
5x rated W 102-156, 10x W 160-190
500V (for 1KV specify opt.33)
Resistors with .020" dia leads = 11A,
.024" = 15A, .032" = 22A, .040"= 30A
20ppm (5 & 10ppm avail.)
50ppm (10, 20, 30ppm avail.)
90ppm (20, 30, 50 ppm avail.)
300ppm (50, 100, 200ppm avail.)
600ppm (100, 200, 300ppm avail.)
P/N DESIGNATION:
RCD Type
135
- 102 - J B
W
Options:
X, R, V, P, F, ER, E, B, 76, 75, 22, 20, 18
(leave blank if standard)
Resis. Code 1% & tighter tols:
3 signif. digits & multiplier,
e.g. R100= 0.1Ω, 1R00= 1Ω,1000= 100Ω, 1001= 1KΩ.
2%-10%:
2digits &multiplier (R10= .1Ω, 1R0=1Ω,100=10Ω, 102=1K).
Use extra digits as needed: R005, R0075, R012, etc.
Tolerance
:
K=10%, J=5%, H=3%, G=2%, F=1%, D=0.5%,
C=0.25%, B=0.1%, A=0.05%, Q=0.02%, T=0.01%, V=.005%
Packaging
:
B= Bulk, T= T&R (avail. on type 102 to 176)
Optional TC:
5= 5ppm, 10= 10ppm, 20= 20ppm, 30= 30ppm,
50= 50ppm, 101= 100ppm, 201=200ppm (leave blank if std)
Termination:
W= Lead-free, Q= Tin/Lead (leave blank if either is acceptable)
RCD Components Inc,
520 E.Industrial Park Dr, Manchester, NH, USA 03109
rcdcomponents.com
Tel: 603
-
669
-
0054 Fax: 603
-
669
-
5455 Email:sales@rcdcomponents.com
FA039G Sale of this product is in accordance with GF-061. Specifications subject to change without notice.
43
【FAQ】Implementing MultiZone Security in RISC-V Applications | Microchip Security Solutions Seminar Series
Live Topic: Implementing MultiZone Security in RISC-V Applications | Microchip Security Solutions Seminar Series 1Content introduction: We share the latest RISC-V security technology and provide sever...
EEWORLD社区 Security Electronics
Overview of IoT Gateway and Its Role
[p=30, 2, left][font=宋体]Gates are becoming a key element in bringing legacy and next-generation devices into the Internet of Things (IoT). [/font] [font=宋体]They integrate network protocols, help manag...
朗锐智科 RF/Wirelessly
TI - MCU - MSP430 User Guide 8 -> WDT Watchdog Module
This content is originally created by EEWORLD forum user Qi IC Kan MCU . If you want to reprint or use it for commercial purposes, you must obtain the author's consent and indicate the sourceThe watch...
骑IC看MCU Microcontroller MCU
What does -- - ; mean in the 51 MCU machine code?
What does -- - ; mean in the 51 single-chip machine code? As shown in the figure below, what do the three symbols -- at 1, ― at 2, and ; at 3 mean in the machine code?...
一沙一世 51mcu
TFTLCD backlight cannot light up
This problem has been bothering me for several weeks. I beg you to spare some time to help me. I recently plan to use stm32f103VET6 to drive a 2.8-inch TFTLCD through the FSMC bus. The CS, WR, RS, RD ...
反倒是fdsf stm32/stm8
[Help] Use Quartus II to simulate a counting state machine
[i=s]This post was last edited by 1244334644 on 2022-4-2 14:13[/i]library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity FSM is port (clk,rese...
1244334644 Altera SoC

EEWorld
subscription
account

EEWorld
service
account

Automotive
development
circle

Datasheet   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
Room 1530, 15th Floor, Building B, No. 18 Zhongguancun Street, Haidian District, Beijing Telephone: (010) 82350740 Postal Code: 100190
Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved 京ICP证060456号 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号