EEWORLDEEWORLDEEWORLD

Part Number

Search

MC68HC711A0VFN1

Description
8-BIT, EEPROM, 3 MHz, MICROCONTROLLER, PQCC68
Categorysemiconductor    The embedded processor and controller   
File Size835KB,124 Pages
ManufacturerFREESCALE (NXP)
Download Datasheet Parametric View All

MC68HC711A0VFN1 Overview

8-BIT, EEPROM, 3 MHz, MICROCONTROLLER, PQCC68

MC68HC711A0VFN1 Parametric

Parameter NameAttribute value
Number of functions1
Number of terminals68
Maximum operating temperature85 Cel
Minimum operating temperature-40 Cel
Maximum supply/operating voltage5.25 V
Minimum supply/operating voltage4.75 V
Rated supply voltage5 V
External data bus width8
Number of input and output buses54
Line speed3 MHz
Processing package descriptionPLASTIC, LCC-68
stateTRANSFERRED
CraftsmanshipHCMOS
packaging shapeSQUARE
Package SizeCHIP CARRIER
surface mountYes
Terminal formJ BEND
Terminal spacing1.27 mm
Terminal locationQUAD
Packaging MaterialsPLASTIC/EPOXY
Temperature levelINDUSTRIAL
ADC channelYes
Address bus width16
Number of digits8
Maximum FCLK clock frequency12 MHz
Microprocessor typeMICROCONTROLLER
ROM programmingEEPROM
Freescale Semiconductor, Inc.
HC11
MC68HC11D3
Technical Data
Freescale Semiconductor, Inc...
For More Information On This Product,
Go to: www.freescale.com
【NXP Rapid IoT Review】+ Trial Computer Programming & Mobile Phone Control
[i=s]This post was last edited by damiaa on 2018-12-30 16:51[/i] [b]【NXP Rapid IoT Review】+Start Trial[/b] [b]I finally received the RAPID IOT board last night, it’s great! [/b]Small, but said to be p...
damiaa RF/Wirelessly
TMS320C6747 Fixed-point/Floating-point Digital Signal Processor
[i=s]This post was last edited by Jacktang on 2020-5-4 08:35[/i]Data SheetTMS320C6745, TMS320C6747 Fixed- and Floating-Point Digital Signal Processor 数据表(英文內容)| Online data sheetErrataTMS320C6747 Fixe...
Jacktang DSP and ARM Processors
Chip Manufacturing 7-Chip Manufacturing
...
ylyfxzsx Download Centre
FPGA water lamp design vhdl source program
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned .all; entity liushuideng is port(clk:in std_logic; led:out std_logic_vector(7 downto 0)); end entity; architecture bhv of liushui...
fish001 DSP and ARM Processors
USB packet capture module protocol
I have a question for you all, what protocol does the POS receipt printer use? I recently worked on a project in which I used STM32F407 to receive printer data captured by a USB packet capture module ...
ZYNQ stm32/stm8
The PWM wave output of TL494 in the boost circuit is abnormal. Please help me
TL494 controls the generation of PWM waves to control the switching of the power tube, but the phases of pins 8 and 11 are not the same when outputting (pin 13 is connected to a low level), pin 8 has ...
lizhao5959 Analogue and Mixed Signal

Technical ResourceMore

EEWorld
subscription
account

EEWorld
service
account

Automotive
development
circle

Datasheet   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
Room 1530, 15th Floor, Building B, No. 18 Zhongguancun Street, Haidian District, Beijing Telephone: (010) 82350740 Postal Code: 100190
Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved 京ICP证060456号 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号