EEWORLDEEWORLDEEWORLD

Part Number

Search
 PDF

WT233L11D1AB

Description
Toggle Switch, DPDT, On-on-(on), Momentary, 8 PCB Hole Cnt, Solder Terminal, Toggle Actuator, Through Hole-right Angle
CategoryMechanical and electronic products    switch   
File Size229KB,4 Pages
ManufacturerElectroswitch
Download Datasheet Parametric View All

WT233L11D1AB Overview

Toggle Switch, DPDT, On-on-(on), Momentary, 8 PCB Hole Cnt, Solder Terminal, Toggle Actuator, Through Hole-right Angle

WT233L11D1AB Parametric

Parameter NameAttribute value
Objectid309564611
Reach Compliance Codeunknown
ECCN codeEAR99
Actuator angle25 deg
Executor completedBRIGHT CHROME
Actuator length0.74 inch
Actuator typeTOGGLE
body width11.7348 mm
body height16.51 mm
Body length or diameter13.5128 mm
Casing diameter0.251 inch
Nozzle length0.25 inch
center contact materialBRASS
Center contact platingGOLD
Contact (DC) maximum rated power R load0.5VA@28VDC
Contact functionON-ON-(ON)
Contact resistance0.01 mΩ
contact timeMAKE-BEFORE-BREAK
Dielectric withstand voltage1400VAC V
Electrical life60000 Cycle(s)
End contact materialBRASS
End contact platingGOLD
Insulation resistance1000000000 Ω
insulator materialTHERMOPLASTIC
Manufacturer's serial numberWT
Installation featuresTHROUGH HOLE-RIGHT ANGLE
Maximum operating temperature85 °C
Minimum operating temperature-30 °C
PCB hole count8
sealEPOXY
surface mountNO
Switch actionMOMENTARY
switch functionDPDT
Switch typeTOGGLE SWITCH
Terminal length0.13 inch
Termination typeSOLDER
TFTLCD backlight cannot light up
This problem has been bothering me for several weeks. I beg you to spare some time to help me. I recently plan to use stm32f103VET6 to drive a 2.8-inch TFTLCD through the FSMC bus. The CS, WR, RS, RD ...
反倒是fdsf stm32/stm8
The most touching swear word for women
Mr. Zhao woke up with a terrible headache... because he came home drunk the night before! He forced himself to open his exhausted eyes. After opening his eyes, he saw a glass of water and a few headac...
6294316 Talking
Research on DBF Transmitting Technology in Digital TR Components
【Abstract】 The basic principle block diagram of digital TR component and its DDS is given, the method of realizing DBF transmission technology is analyzed, four DBF transmission channels are developed...
JasonYoo Embedded System
Human body sensing alarm, new product on the market
[i=s]This post was last edited by jameswangsynnex on 2015-3-3 20:00[/i]...
aifang Mobile and portable
[Help] Use Quartus II to simulate a counting state machine
[i=s]This post was last edited by 1244334644 on 2022-4-2 14:13[/i]library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity FSM is port (clk,rese...
1244334644 Altera SoC
SPIN3202 control board: single resistor FOC motor drive schematics/code/debugging documents and other detailed information open source sharing (main...
This content is originally created by music_586 , a user on the EEWORLD forum. If you need to reprint or use it for commercial purposes, you must obtain the author's consent and indicate thesource. SP...
music_586 Motor Drive Control(Motor Control)

EEWorld
subscription
account

EEWorld
service
account

Automotive
development
circle

Datasheet   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
Room 1530, 15th Floor, Building B, No. 18 Zhongguancun Street, Haidian District, Beijing Telephone: (010) 82350740 Postal Code: 100190
Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved 京ICP证060456号 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号